Intel 18A Process Successfully Boots Operating Systems, to Hit Production in 2025

Panther Lake (AI PC client processor) and Clearwater Forest (server processor) are the lead products on Intel 18A.

Intel 18A Process Successfully Boots Operating Systems, to Hit Production in 2025

Photo Credit: Intel

Intel says RibbonFET allows for tight control over the electrical current in the transistor channel

Highlights
  • Intel highlights that the Intel 18A is now out of the fabrication process
  • Intel 18A implements both RibbonFET and PowerVia technology
  • Panther Lake has also met DDR performance targets
Advertisement

Intel announced on Tuesday that the lead products on the Intel 18A process, the Panther Lake (AI PC client processor) and Clearwater Forest (server processor), are out of the fabrication process. With this, both processors have completed their initial phases and have even been tested to power on and boot operating systems. The company claimed that the major achievement was reached just two quarters after the tape-out. Notably, tape-out marks the completion of a chip designing process and highlights it is ready to be manufactured as a physical product.

Intel 18A Processors Out of Fabrication

Chipset manufacturing is a complicated process with multiple critical steps. First, the chip designing process comes where a new design is imagined virtually. It then undergoes rigorous testing to ascertain that it meets all specifications and design rules. Once designing is complete, the chipset is manufactured. This process is also known as fabrication, which is when the design materialises and another set of testing is conducted to ensure it still meets the specifications.

After that, secondary packaging, testing, and optimisation as per the requirements of original equipment manufacturers take place. Once all of this is done, the processor enters mass production.

In a newsroom post, Intel highlighted that the two lead products under the new Intel 18A node technology, the client-side AI PC processor Panther Lake and server-side processor Clearwater Forest, have both exited the fabrication phase. The chipsets have also been tested on powering and booting operating systems, which highlights that testing is also complete.

Interestingly, Intel 18A integrates RibbonFET gate-all-around (GAA) transistors and PowerVia backside power technology. Put simply, RibbonFET is an advancement in transistor design. It offer better performance and power efficiency compared to its predecessor FinFET. PowerVia is a reimagination of how power is delivered to a chipset. Instead of following the traditional method of adding power and signal lines in the same plane on the front side of the silicon, it moves the power delivery to the backside.

Intel has also added that the Panther Lake and Clearwater Forest chipsets will enter production next year.

Comments

For the latest tech news and reviews, follow Gadgets 360 on X, Facebook, WhatsApp, Threads and Google News. For the latest videos on gadgets and tech, subscribe to our YouTube channel. If you want to know everything about top influencers, follow our in-house Who'sThat360 on Instagram and YouTube.

Further reading: Intel 18A, Intel Panther Lake, Intel, AI PC
Akash Dutta
Akash Dutta is a Senior Sub Editor at Gadgets 360. He is particularly interested in the social impact of technological developments and loves reading about emerging fields such as AI, metaverse, and fediverse. In his free time, he can be seen supporting his favourite football club - Chelsea, watching movies and anime, and sharing passionate opinions on food. More
Vivo TWS 3e With ANC, Up to 42 Hours of Total Battery Life Launched in India
X Tipped to Introduce Payments Service Soon, Realising Elon Musk’s ‘Everything App’ Vision
Facebook Gadgets360 Twitter Share Tweet Snapchat LinkedIn Reddit Comment google-newsGoogle News
 
 

Advertisement

Follow Us
© Copyright Red Pixels Ventures Limited 2024. All rights reserved.
Trending Products »
Latest Tech News »